1
0
mirror of https://github.com/bitwarden/desktop.git synced 2024-10-01 04:27:40 +02:00
bitwarden-desktop/.github/workflows
2021-01-05 19:04:25 +00:00
..
build-and-sign.yml adding the npx prefix to the electron-builder program 2021-01-05 19:04:25 +00:00
build.yml removing a line in a pwsh multiline script 2020-12-15 00:59:16 +00:00