diff --git a/_static/changelog-2024.4.0.png b/_static/changelog-2024.4.0.png index 96c8a876e..19c2140a9 100644 Binary files a/_static/changelog-2024.4.0.png and b/_static/changelog-2024.4.0.png differ diff --git a/components/display/images/display_rendering_colors.png b/components/display/images/display_rendering_colors.png index df5830179..725827111 100644 Binary files a/components/display/images/display_rendering_colors.png and b/components/display/images/display_rendering_colors.png differ diff --git a/components/display/images/display_rendering_graph.png b/components/display/images/display_rendering_graph.png index 9c2c30d45..136440860 100644 Binary files a/components/display/images/display_rendering_graph.png and b/components/display/images/display_rendering_graph.png differ diff --git a/components/display/images/display_rendering_shapes.png b/components/display/images/display_rendering_shapes.png index b6a034710..493d0ec5a 100644 Binary files a/components/display/images/display_rendering_shapes.png and b/components/display/images/display_rendering_shapes.png differ diff --git a/components/display/images/display_rendering_text.png b/components/display/images/display_rendering_text.png index 3e5fb7834..be544d58e 100644 Binary files a/components/display/images/display_rendering_text.png and b/components/display/images/display_rendering_text.png differ diff --git a/components/display/images/lcd_gpio.svg b/components/display/images/lcd_gpio.svg index fa1a27a68..fe737be90 100644 --- a/components/display/images/lcd_gpio.svg +++ b/components/display/images/lcd_gpio.svg @@ -1 +1 @@ -Liquid Cristal Display 16x2, top-connector, pinout01 VSS / GND02 VCC / +5V03 VEE / Contrast04 RS / Register Select05 R/W / Read/Write06 E / Enable07 D0 / Data 0 (8Bit)08 D1 / Data 1 (8Bit)09 D2 / Data 2 (8Bit)10 D3 / Data 3 (8Bit)11 D4 / Data 4 (4/8Bit)12 D5 / Data 5 (4/8Bit)13 D6 / Data 6 (4/8Bit)14 D7 / Data 7 (4/8Bit)15 BLA / Backlight, Anode16 BLK / Backlight, Kathode +Liquid Cristal Display 16x2, top-connector, pinout01 VSS / GND02 VCC / +5V03 VEE / Contrast04 RS / Register Select05 R/W / Read/Write06 E / Enable07 D0 / Data 0 (8Bit)08 D1 / Data 1 (8Bit)09 D2 / Data 2 (8Bit)10 D3 / Data 3 (8Bit)11 D4 / Data 4 (4/8Bit)12 D5 / Data 5 (4/8Bit)13 D6 / Data 6 (4/8Bit)14 D7 / Data 7 (4/8Bit)15 BLA / Backlight, Anode16 BLK / Backlight, Kathode \ No newline at end of file diff --git a/components/output/images/tlc5971.jpg b/components/output/images/tlc5971.jpg index a5215f8a4..73dad6d86 100644 Binary files a/components/output/images/tlc5971.jpg and b/components/output/images/tlc5971.jpg differ diff --git a/components/sensor/images/jsn-sr04t-v3-mode-select-pads.jpg b/components/sensor/images/jsn-sr04t-v3-mode-select-pads.jpg index 1e3594eba..0829a5f21 100644 Binary files a/components/sensor/images/jsn-sr04t-v3-mode-select-pads.jpg and b/components/sensor/images/jsn-sr04t-v3-mode-select-pads.jpg differ diff --git a/components/sensor/images/jsn-sr04t-v3.jpg b/components/sensor/images/jsn-sr04t-v3.jpg index 43a4847ac..1ee294d33 100644 Binary files a/components/sensor/images/jsn-sr04t-v3.jpg and b/components/sensor/images/jsn-sr04t-v3.jpg differ diff --git a/components/sensor/images/xiaomi_hhccjcy10.jpg b/components/sensor/images/xiaomi_hhccjcy10.jpg index 691d6bf5a..90494ba3a 100644 Binary files a/components/sensor/images/xiaomi_hhccjcy10.jpg and b/components/sensor/images/xiaomi_hhccjcy10.jpg differ diff --git a/guides/images/save_as_wav.png b/guides/images/save_as_wav.png index 2de3da61f..d0e83f30c 100644 Binary files a/guides/images/save_as_wav.png and b/guides/images/save_as_wav.png differ diff --git a/images/xiaomi_hhccjcy10.jpg b/images/xiaomi_hhccjcy10.jpg index 691d6bf5a..90494ba3a 100644 Binary files a/images/xiaomi_hhccjcy10.jpg and b/images/xiaomi_hhccjcy10.jpg differ